- fchao-Sinus-Wechselrichter AliExpress         
Ergebnis 1 bis 3 von 3

Thema: Variablen in AVR Studio mit AVR JTAG Anzeigen?

  1. #1

    Variablen in AVR Studio mit AVR JTAG Anzeigen?

    Anzeige

    Praxistest und DIY Projekte
    Hallo,

    ich benutze einen AVR JTAG und AVR Studio zum debuggen. Es wird jedoch kein Wert einer Variablen im Studio angezeigt, es erscheint nur die Meldung: "Not in scope" im Watch Fenster.

    Ich benutze Bascom unt AVR Studio in der neuesten Version.

    Was tun ???

    Hat jemand ne Idee?

    Gruß,
    chris

  2. #2
    Erfahrener Benutzer Roboter Genie
    Registriert seit
    22.11.2003
    Beiträge
    991
    Kann Bascom den überhaupt Code erzeugen den man im AVR Studio debuggen kann ??

    Oder schaust du dir nur das Disassemblerlisting an ??

    MfG Kjion

  3. #3
    Gast
    Ja, im AVR Studio wird der Source Code richtig angezeigt. Man kann auch Breakpoints setzen und durchs Programm steppen. Jedoch werden keine Variablen Werte angezeigt!?!?!?

Berechtigungen

  • Neue Themen erstellen: Nein
  • Themen beantworten: Nein
  • Anhänge hochladen: Nein
  • Beiträge bearbeiten: Nein
  •  

12V Akku bauen