PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : Zähleransteuerung mit Quadratursignalen



Manf
09.06.2005, 20:29
Im Bild sind oben rechts die Quadratursignale a und b eines inkrementalen Meßsystems dargestellt wie sie bei einer Maus, bei Längen- oder Winkelmesssystemen vorkommen. Sie bestimmen den Betrag des Messwerts durch die Anzahl der Takte und die Richtung durch ihre Phase zueinander. Links sind die beiden Signale a und b im Zustandsdiagramm dargestellt.

Sollen die Impulse mit einem up/down (u/d) counter gezählt werden, dann könnte man zunächst einfach die Signale a und b an clock und u/d durchschalten. Für jede der beiden Richtungen wird eine korrekte Zählung erfolgen, aber bei Richtungsumkehr können Fehler auftreten. Das kann man sich leicht am Zustandsdiagramm klar machen wenn man die für den Zähler wirksamen Zustandsänderungen betrachtet.

Ziel der Aufgabe ist es, eine möglichst einfache Schaltung einzufügen, die auch bei Richtungsumkehr eine korrekte Zählung sicherstellt. Eine einfache Lösung könnte ein asynchrones Gatternetz sein das beispielsweise mit 4 Gattern oder weniger auskommt.
Manfred


https://www.roboternetz.de/phpBB2/album_pic.php?pic_id=553

churchi
09.06.2005, 20:56
kann das mit nur 1 Zähler überhaupt funktionieren?
Wenn man von links nach rechts oder rechts nach links geht würde sich b nicht verändern. Somit währe auch keine Änderung am Zähler und der Zähler würde die Änderung nicht bemerken.
Warum nicht einfach einen weiteren Zähler anschließen mit genau den umgekehrten Anschlüssen?
(statt a b und statt b a)
Dann müsste man alle Richtungen erkennen und mitzählen können.

Was mir auch noch unklar ist: wie kann man mit nur einem Zähler x und y Koordinaten bestimmen? - oder ist gerade das der Trick?
Aus nur 1 Zahl 2 Richtungen bestimmen?

Bin schon gespannt auf die Lösung...

edit:
zählt der Zähler wirklich wie auf dem IC gezeichnet nur bei steigender Flanke?
Meine Theorie würde ja nur funktionieren wenn er steigende als auch fallende Flanke registrieren würde?

Manf
09.06.2005, 21:10
wie kann man mit nur einem Zähler x und y Koordinaten bestimmen? -Die Maus als Beipiel ist vielleicht etwas komplex, in der ist jeweils für x und für y ein unabhängiges System dieser Art drin.

Warum nicht einfach einen weiteren Zähler anschließen mit genau den umgekehrten Anschlüssen?
(statt a b und statt b a)
Das klingt irgendwie ganz gut aber das würde das Problem nicht lösen. Es könnten trotzdem Zählfehler auftreten.

Wie bei jedem Zähler hat man es mit der Quantisierung zu tun. Eine volle Umdrehung im Zustandsdiagramm soll gezählt werden. Teile davon können unberücksichtigt bleiben, aber Fehler von Teilen dürfen sich nicht aufsummieren.

Der Zähler zählt nur bei der ansteigenden Flanke ich habe ein praktisches Beispiel gewählt, aber wie gesagt, eine ganze Runde im Zustandsdiagramm soll gezählt werden, eine höhere Auflösung schadet nicht, ist aber zunächst nicht gefordert.
Manfred

churchi
09.06.2005, 21:29
Das heißt im prinzip dreht sich dieses "Rad" immer am Untergrund?
Ist das nicht sowas wie ein Drehgeber?

00 - 01 - 11 - 10 -> Drehung nach rechts -> inkrementieren
00 - 10 - 11 - 01 -> Drehung nach links -> dekrementieren

Warum kann dieser Zählfehler entstehen?
Etwa weil der Zähler nur die steigende Flanke registriert und die Fallende nicht? - soweit wie ich das im Bild sehen kann tut er das doch?

Ist dies nicht ein Gray-Code?
Es ändert sich in eine Richtung immer maximal ein Bit

sry - aber ich glaub mir fehlt einfach noch der Ansatz wo das Problem liegt um dann versuchen zu können es zu lösen - wenn du weißt was ich meine ;)

Manf
09.06.2005, 21:39
Der Code wird auch bei Drehgebern eingesetzt. Er ist inkremental. Für eine Umdrehung ist es ein Gray-Code.

Da liegt nicht das Problem. Die Umsetzung in den Zähler ist interessant.

In der billigen Grundversion, die ich als Referenz angeboten habe, registriert der Zähler nur eine ansteigende Flanke von b.
Da können sich Fehler akkumulieren wenn immer vor der ansteigenden Flanke nach rechts umgekehrt wird und die Flanke nach links noch einmal hin und zurück durchfahren wird.
Manfred

churchi
09.06.2005, 22:23
sollte auch gezählt werden wenn der Zustand von 01 auf 00 wechselt?
wenn ja dann geb ich auf :-k

ich wüsste schon wie ich das anders löse - aber so mit einem Zähler... 8-[

Manf
09.06.2005, 22:40
sollte auch gezählt werden wenn der Zustand von 01 auf 00 wechselt?


Eine volle Umdrehung im Zustandsdiagramm soll gezählt werden. Teile davon können unberücksichtigt bleiben, aber Fehler von Teilen dürfen sich nicht aufsummieren.

Das ist eigentlich die normale Anforderung an einen Zähler. Wenn die Auflösung höher ist, ist es auch gut. Das ist eine ganz pragmatische Sache.

Man kann grundsätzlich Zustände und Zustandsübergänge erfassen und in Datenzustände und Übergänge umsetzten. Im konkreten Fall ist es manchmal schwierig aus einer Lösungsvielfalt eine effiziente Lösung herauszusuchen.
Manfred

churchi
09.06.2005, 22:50
hmm - ich bin gespannt auf die Lösung aber selber komm ich net drauf 8-[

Manf
09.06.2005, 23:02
Das kann man sich leicht am Zustandsdiagramm klar machen wenn man die für den Zähler wirksamen Zustandsänderungen betrachtet.
Das hatte ich noch als Hinweis angegeben für die vereinfachte Schltung, damit man erkennen kann worin bei ihr das Problem liegt.
Die für den Zähler wirksamen Zustandsänderungen im Zustandsdiagramm, (einfach erstmal ankreuzen).

Ich gebe ja zu dass das Ganze für einen Schritt nicht trivial ist, aber dafür gibt es ja die Beschreibung, in dem Fall mit dem Zustandsdiagramm. Und wenn man später mit der Erfahrung, praktisch aus der Hüfte, ein komplexes kombinatorisches Problem mit ein paar klaren Sätzen analysiert und die Lösung angibt, naja es spart zumindest Zeit und gibt Sicherheit.
Manfred

churchi
09.06.2005, 23:21
Also das Problem hab ich mittlerweile erkannt - hoffe ich.

Angehängt hab ich mal ein Bildchen.
blau - Linksdrehung die erkannt wird
rot - Rechtsdrehung dir erkannt wird

Dort bei den Pfeilen wirds richtig erkannt - aber dazwischen gibts ein Problem.

Wenn nun dort wo es nicht erkannt wird würde es bei Richtungsänderungen Probleme geben weil genau dort nicht gezählt wird.

Aber wie man da jetzt mit ein paar Gattern eine Lösung finden könnte weiß ich (noch) nicht.

Manf
10.06.2005, 07:45
Ja, genau, schönes Bild mit den Farben, in dieser Darstellung sieht man, dass die Erfassung der Linksdrehung und der Rechtsdrehung an unterschiedlichen Stellen im Diagramm erfolgt und durch ein Hin- und Zurückbewegen auch fehlerhafte Zählinformationen abgegeben werden können.

Zu dieser Schaltung kommt es eigentlich nur, weil es mit den beiden Verbindungen sehr einfach ist:
b liefert die positiven Flanken für den Zähler, auch im Diagramm erkennbar, immer wenn es nach oben geht, und a liefert die Richtungsinformation, rechts (bei a=1) geht es in die eine Richtung, links (bei a=0), in die andere.

Der nächste Schritt wäre dann festzulegen, welche Zustandsübergänge an den Zähler gemeldet werden sollen, damit Fehler ausgeschlossen werden.
Alle Übergänge, das ist eine Lösung die zu einer hohen Auflösung führt, die aber auch die Schaltung komplizierter machen könnte. Zwei müßten es wohl mindestens sein.
Manfred

PicNick
10.06.2005, 07:52
Zwischenfrage: Zählst du ein XOR als 1 Gatter oder gilt das ganze puristisch mit 2NOR ?

Manf
10.06.2005, 07:56
Aha ich sehe, Du bist dem Ziel sehr nahe.
XOR soll als 1 Gatter gezählt werden.
Es gibt aber keine feste Vorgabe für die Anzahl der Gatter.
Es dürfen auch mehr sein als 4.
Manfred

PicNick
10.06.2005, 08:47
Gut, ich denk', da komm ich dann hin.
Schauen wir, was der Nachwuchs rauskriegt.

Manf
10.06.2005, 09:56
Wir können ja dann am Schluß noch mal die Umsetzung sämtlicher Zustandsübergänge zeigen, das müßte mit 6 Gattern gehen. Da dürfen dann auch XOR mir mehr als zwei Eingängen verwendet werden.

Es gibt aber zunächst auch einfachere Ansätze.

Manfred

Manf
10.06.2005, 13:24
Ein Schritt zur Lösung ist festzulegen, welche Übergänge ausgewertet werden sollen.

Zählfehler werden vermieden, wenn dem Zähler beide Übergänge zwischen zwei benachbarten Zuständen gemeldet werden. Links im Bild ist dies nur für ein paar benachbarter Zustände dargestellt, rechts für zwei Paare. Eine weiter Möglichkeit ist, alle vier benachbarten Paare zu berücksichtigen.

Ich fange schon mal mit der Beschreibung der linken Seite an:

Es ist eine positive Flanke an clock zu geben wenn sich der Wert von b ändert. Das Signal muss im neuen Zustand wieder für ein Signal bereit sein. Damit ist ein positiver Impuls mit positiver und negativer Flanke abzugeben. Wird nur der Wechsel links betrachtet, dann ist der Impuls nur unter der Bedingung durchzulassen, dass a = 0 ist.

Der Wert des up/down Signals richtet sich nun nicht mehr nach einem statischen Signal, sondern nach dem Wert von b den es vor der Änderung hatte. Das Signal b ist in der Änderung zu verzögern und an up/down zu geben.

Die Schaltung lässt sich damit durch die Generierung eines positiven Impulses aus einem Pegelwechsel, einer Durchlassbedingung für positive Impulse und einer Verzögerung realisieren.

Manfred

PicNick
10.06.2005, 13:56
Versuch ich's mal:
Wenn ich aus dem Clock-Signal (a?) beide Flanken nehme, gilt bei steigender Flanke data (b?) als Richtung, bei fallender /data.
(d.h clock invertiert data mit Xor)
beide flanken: ein Verzögerungsglied mit Xor hinten und vorne, je nach Zeitverhältnissen (datasheets?) einfach eins oder mehrere Gatter oder gar RC (?)
Sollt' eigentlich gehen, mal sehen.

Manf
10.06.2005, 14:30
Wenn ich aus dem Clock-Signal (a?)
Die Festlegung ist willkürlich aber im Bild andersherum gewählt. Die farbigen Pfeile im Diagramm sind für den Wechsel von b auf der linken Seite, also Wechsel von b für a = 0.

Verzögerungen werden mit Gatterlaufzeiten (Doppelinverter) oder bei diskretem Aufbau auch mit RC Gleidern hergestellt.

Es gibt mehrere Möglichkeiten Verknüpfungen und Verzögerungen in der Reihenfolge zu tauschen es sieht mir aber sehr nach nur einer Verzögerung aus. Das Signal a wird kaum gebraucht, nur für die Steuerung des Clockimpulses. Also Clockimpuls herstellen und bei a=0 durchlassen, bei a=1 sperren.
Manfred

PicNick
10.06.2005, 14:47
Ich hab rumgekrixelt, ob man das nicht sozusagen voll-statisch auch lösen könnte, aber das Problem mit der Flanke bleibt erhalten (oder komplizierter). daran bin ich bei allen Lösungsversuchen hängen geblieben. Und wenn man aber mal beide Flanken hat, ist alles andere wohl sinnlos.
Oder weißt du eine andere (sinnvolle) Variante ? Tät mich interessieren.
Schade, daß nicht viele teilgenommen haben. Schließlich sind ja (fast) alle robby-isten damit konfrontiert.

Manf
10.06.2005, 15:20
Schade, daß nicht viele teilgenommen haben.
Eine Lösung ist das noch nicht wir haben mal gerade ein einzelnes Übergangspaar diskuitert.
Für ein doppeltes Paar ist die Lösung irgendwie einfacher, und dann kommt noch die asynchrone Vierfachlösung.
Manfred

avatar
10.06.2005, 15:23
mit diesem IC gehts ganz einfach:
http://www.lsicsi.com/pdfs/LS7083_84.pdf

PicNick
10.06.2005, 15:48
wenn ich an den bezeichneten stellen die Flanke und deren richtung gewonnen habe, kann ich mit der Flanke und Data /Data den Zähler steuern.
was fehlt ?

Manf
10.06.2005, 15:53
Dann könnte die Lösung ja erbringen wie wenig in so einem IC drin sein muss.
Sehr schön dass es den gibt. Vielen Dank für den Hinweis.
Manfred

Manf
10.06.2005, 18:05
was fehlt ?
Das war jetzt auf der letzten Seite verschwunden. Die Schaltung sollte noch angegeben werden.
Bild links:
Die Schaltung für einen Übergangspaar pro Periode wurde ja schon diskutiert. Ein Signal mit sich selbst XOR- verknüpft bleibt beim Wechsel logisch auf null, es sei denn es wird auf der einen Seite der Verknüpfung verzögert. Dann erhält man für die Dauer der Verzögerung einen positiven Impuls. Dieser Impuls wird vom invertierten Signal von a durch das und Gatter gelassen.
Das verzögerte Signal von b für die Richtung wurde ja schon erzeugt und gibt die Zählrichtung an.

Bild rechts:
Die Schaltung für die Auswertung von zwei Übergangspaaren ist eher einfacher, da der Zählerpuls in gleicher Weise aus b erzeugt wird aber ohne Bedingung an clock durchgeschaltet wird.
Die Richtung kann wieder aus dem verzögerten Signal von b abgeleitet werden. Bei einer Änderung des Wertes von a also auf der gegenüberliegenden Seite des Zustandsdiagramms ergibt sich aber beim gleichen Wert von b die entgegengesetzte Richtung. Also wird b durch a bedingt invertiert, das heißt XOR verknüpft.

Die Verzögerung kann durch eine Inverterkette realisiert werden, aber beim diskreten Aufbau ist ein RC Tiefpass praktischer. Der Kondensator sollte so groß gewählt werden dass er sich gerade von der Streukapazität unterscheidet, also je nach Aufbau ca. 30pF und der Widerstand kann bei 68kOhm liegen. Dann erhält man eine Zeitkonstante von 2µs und die Verzögerung ist groß gegen die Gatterlaufzeiten und klein gegen die Rate der Eingangsimpulse.

Manfred

churchi
10.06.2005, 18:29
DELAY???

ich hab die ganze Zeit versucht das ohne Delay zu lösen - und öfters hab ich mir gedacht, dass ein delay gut wäre...

](*,)

PicNick
10.06.2005, 18:35
Vielen Dank für das interessante Rätsel, endlich mal was praktisches (->Odometrie)
Die rechte hatte ich gemeint.
Vielleicht der Zusatz, daß diese schaltung
1. saubere Inputs für die edge-detection braucht, also ev. ST
2. für direkte Verwendung als AVR-Interrupt doch sicherheitshalber ein D-Latch brauchen würden, damit die Richtung immer stabil bleibt.
O.T.:
Sag', womit zeichnet man so schnelle Skizzen, die trotzdem halbwegs vernünftig dreinschauen ? Eagle scheint mir für das doch etwas zu aufwendig, und meine alten Elektronic Workbenches laufen nicht mehr und sind mir zum kaufen zu teuer.

Manf
10.06.2005, 18:54
Mir gefällt das Beispiel, weil es ein paar elementare Techniken verwendet und damit eine Aufgabe löst die sich sonst nicht so ganz aus dem Ärmel schütteln läßt.

1. Die Signale sollten sauber sein und ein Tiefpass und auch ein Schmitt Trigger mit relativ kleiner Hysterese kann da ganz gut helfen. Es sind ja meistens Signale von mechanischen Sensoren die in der Bandbreite ein paar Größenordnungen unter der Arbeitsfrequenz der Gatter liegen.

2. Vorgesehen war der Anschluß an einen Zähler. Ein Controller sollte dann eher den Zähler auswerten.

Die Skizzen gehen schnell mit ppt wenn man die Elemente wiederverwendet.
Manfred

NRicola
10.06.2005, 20:31
Schade, dass ihr's mit der Lösung so eilig hattet. Ich hätte gerne mal darüber geschlafen - soll ja helfen sowas....
Aber wie ich grad sehe, wäre ich auch dann nicht dahinter gekommen, denn es hat sich nun folgende Frage ergeben:
Was ist ein Delay?
Grußly

Manf
11.06.2005, 23:10
Was ist ein Delay? Eine Verzögerung, das Signal kommt so raus wie es reingegangen ist, nur etwas später.


Schade, dass ihr's mit der Lösung so eilig hattet. Ich hätte gerne mal darüber geschlafen - soll ja helfen sowas....
Du triffst die optimale Situation an. Die Methode ist erklärt, es gibt Beispiele, und ein Teil ist noch ungelöst:

Die Auswertung aller acht Zustandsübergänge.

Manfred

PicNick
12.06.2005, 13:48
Ich hab nun die möglichen Zustände /Übergänge in ein Sheet eingetragen
A = Eingang 1
a = Eingang 1 delayed
B = Eingang 2
b = Eingang 2 delayed
das Dach "^" stellt ein XOR dar
| = OR
& = AND
! = NOT
Die nichtgerahmte "Dir" ist die tatsächliche Richtung, das gerahmte DIR ganz rechts das abzuleitende
"AaBb" ist nur die Zusammenfassung der Eingänge
Bei jedem stabilen Zustand (lt. Zeichnung Manfred) sind zwei Übergänge möglich: vorwärts und zurück
Durch das XOR der Eingänge / delay findet die Flanken-Erkennung statt.
Die Clock für den Zähler ist also offensichtlich EdgeA OR EdgeB
(A^a | B^b) zu verwenden
De Richtung kann folgendermaßen festgestellt werden

DIR = (A^a | B^b) & (a^B) & !( A^b)

Eigentlich genügt aber DIR = (a^B) & !( A^b) , da der Zähler ohnehin nur die Richtung bei einer Flanke von Clock übernimmt.

Vielleicht findet sich jemand, der diese binäre Orgie als anschaulichere konkrete Schaltung aufzeichnet, dann sieht es nicht mehr so schlimm aus.

Bei dem vorgeschlagenen Chip sind die Clock Ausgänge UP / DOwn gesondert geführt. Das ist praktisch, wenn man zwei Interrupts damit bepflastert, die dann ohne weitere Informationen von außen hoch- oder runterzählen können.
Die üblichen Up/Down Counter haben mit unserer Version mehr Freude.

Manfred, sind wir jetzt komplett ? (die darstellung ist halt nicht state-of-the-art)

PS: Ich hab auch nicht überprüft, ob der Herr Morgan das nicht auch etwas kompakter zuläßt, überhaupt, wenn man die XOR's auftröselt.

Manf
12.06.2005, 16:35
Zunächst vielen Dank für die Lösung, soweit ich das sehe ist sie richtig.

Ich gebe dann etwas später den vollständigen Lösungsweg an. Aus der allgemeinen Herleitung läßt sich wohl eine noch etwas kürzere Form ableiten.

Bei der Auswertung von Variablen vor und nach einem Übergang muss man wohl eine weitere Verzögerung einführen damit die alten Werte, die Auswertung und die neuen Werte sauber getrennt werden, aber das ist nur eine Kleinigkeit.

Manfred

Manf
12.06.2005, 21:02
Hier also die Lösung für die Auswertung aller Zustandsübergänge. Picknik hat mit a; b; A; B die schönere Bezeichnung für die Variablen gewählt, sie wurde hier übernommen.

Zeitablauf: Um nun auch die Werte der Variablen nach der Änderung (A; B) ins Ergebnis einzubringen wird die steigende Flanke für clock verzögert. Damit die benötigten Werte von vor der Änderung (a; b) bis dahin noch erhalten bleiben, werden sie für die Verwendung für u/d doppelt verzögert.

Um alle Zustandsübergänge auszuwerten, benötigt man bei jedem Übergang eine positive Flanke. Clock wird zunächst wie bisher durch B XOR b gewonnen. Jetzt auch für A XOR a und beide werden durch ODER Verknüpfung kombiniert. Die Inversion mit NOR sorgt dafür, dass die ansteigende Flanke nun am Ende der Verzögerungszeit auftritt.


https://www.roboternetz.de/phpBB2/album_pic.php?pic_id=560

Die Erzeugung des Richtungssignals ist etwas komplizierter geworden und wird deshalb mit einem Karnaugh Diagrann konstruiert. Das Diagramm umfasst 16 Werte. Für alle vier Zustände von a; b sind aller vier Werte von A; B aufgelistet. Für jede Kombination wird der erforderliche Wert für die Richtungsänderung eingetragen.
R: Drehung nach rechts
L: Drehung nach links
-: Keine Änderung
#: Tritt nicht auf
In der Auswertung werden die nicht relevanten Felder so gefüllt, dass die Gesamtfunktion einfach wird. Im Beispiel ergibt sich das Richtungssignal u/d zu: b XOR A.
Die Schaltung ist nach der Beschreibung erstellt.

Zwei Verzögerungen in einer asynchronen Schaltung sind schon etwas kompliziert und sollten mit Vorsicht angewendet werden. Im vorliegenden Fall bleibt es noch übersichtlich. Die Beschreibung in Zustandsdiagramm und Karnaugh Diagramm kann natürlich auch für getaktete Schaltungen eingesetzt werden.

Manfred

tristate
13.06.2005, 06:52
@avatar
hast du zu dem ic auch eine bezugsquelle?

PicNick
13.06.2005, 10:59
@avatar
hast du zu dem ic auch eine bezugsquelle?

@Manfred: Ich glaub, wir konnten keine Begeisterung wecken.

@tristate: das ist nicht gegen dich, du hast wahrscheinlich vollkommen recht.

tristate
13.06.2005, 11:17
so sollte die frage eigentlich nicht rüberkommen..
wollte auch nur mal den preis wissen ob sich sowas lohnen würde bei kleineren projekten, da man für mehre encoder sicherlich lieber nen cpld oder sonst irgendwas einsetzen würde
mfg

PicNick
13.06.2005, 11:30
Wie ich gesagt habe, alles ok, kein Problem irgendwo, tutto paletti.
Die Diskussion war auch eher akademisch, da das Einlesen von einem Zähler ja auch wieder einige Probleme bringt.
Für eine konkrete Anwendung mußt du das Gesamte betrachten (welche Werte brauchst du wo und wann), da gibt's keine allgemeine Antwort.
Große Frage: wie flott tickern denn die Encoder ?

Manf
13.06.2005, 12:38
Zum Thema IC habe ich erst mal nur gefunden, dass er schwer zu beschaffen sein soll. Ich finde die Schaltungen eingetlich nicht übertrieben kompliziert, wenn man mit einem Gatterbaustein und einem Widerstand schon auskommt.

Zum Thema Teilnahme danke ich natürlich ganz besonders dem harten Kern, der sich von gar nichts abschrecken läßt. Die vollständige Lösung war vom Thema eher 6. Semester (früher zumindest). Die Frage war aber abgestuft und es war hoffentlich nacher beim Lesen für einen großen Kreis verständlich.

Ich schaue mir vor einer weiteren Frage auch noch mal an, welche Themen gut angekommen sind. Andererseits sind ja 390 Ansichten nicht schlecht, vielleicht fällt mir aber noch was ein in der Richtung wie:
Vakuum hausgemacht, Temperatur Luftdruck, Feldverteilung im Mikrowellenherd, Vibration - Handy Motor, die mehr Besucher hatten. Ich sollte vielleicht noch mal im Keller nach alten Geräten und Teilen davon und Inspirationen suchen.

Manfred



Also, wenn man davon spricht, hier ist noch eine Angabe auch zum Preis:
http://64.233.183.104/search?q=cache:bI42RmjE3bgJ:www.mbd-edv.de/ddl/m0110/msg00102.html+LS7083+preis&hl=de
Drehregler bei Conrad, MC4490 bei RS electronic (Stck 7,80 DM netto),aber der LS7083/7084 ist ziemlich schwer zu bekommen. Ich bin fündiggeworden, musste aber für 5 Stück inkl. Versand ca. 85 DM zahlen (weilMindermengen). Das Stück selber kostet ca. 11 DM netto. Dafür bracuhtman keinen PIC zu programmieren etc.

Anmerkung dazu: Optische Drehregler mit Quardraturausgang etwa vom Typ http://embrace.grayhill.com/embrace/IMAGES/PDF/I-06-08.pdf gibt es für 2€ bei http://www.mir-elektronik.de/index1.html und das Gatter IC geht eher im Preis für den Aufbau unter.

avatar
13.06.2005, 19:29
@avatar
hast du zu dem ic auch eine bezugsquelle?Diese Frage kam schon häufiger hier im Forum auf. Ich habe deshalb im letzten Jahr mal dem Frank vorgeschlagen, es unter http://www.robotikhardware.de anzubieten. Er meinte aber, es sei zu exotisch und schlecht zu verkaufen. Vielleicht überlegt er es ich nochmal, wenn mehr Leute danach fragen.

Ein interessanter Delay-Baustein (z.B. in Verbindungen mit CPLD/FPGA-Lösungen) ist der MXD1013.
http://www.maxim-ic.com/parts.cfm/p/MXD1013 (10...200 ns)
Diese DelayIC's kommen ohne externe Widerstände und Kondensatoren aus. In den IC's ist einfach eine große Zahl von Invertern hintereinander geschaltet, deren Schaltzeiten sich addieren :)

tristate
13.06.2005, 19:39
ich werd bei dem preis den manf hier gepostet hat sicher die billigere variante vorziehen (encoder->cpld->µc), zumal ich min 18 - max 30 encoder auswerten müsste...
mfg

PicNick
14.06.2005, 06:30
Ich hab mal überlegt, die Elektronic von alten Kugelmäusen zu verwenden.

Bei 18-30 Encodern würde ich mir ein komplettes Board spezialisiert aushirnen. Geht ja, wie gesagt, nicht nur ums encodern, sonderm auch um das handling der ganzen werte.
Analog zu Servoboard etc. ein nettes Projekt.