- Labornetzteil AliExpress         
Seite 2 von 2 ErsteErste 12
Ergebnis 11 bis 17 von 17

Thema: Verständnisfrage Port als Ausgang und ihn schalten

  1. #11
    Erfahrener Benutzer Roboter Genie Avatar von HeXPloreR
    Registriert seit
    08.07.2008
    Ort
    Bad Bramstedt
    Alter
    45
    Beiträge
    1.369
    Anzeige

    Powerstation Test
    Hallo,

    überprüfe doch mal ob überhaupt der Hardwarestack auf z.B. 32 steht, entweder in den Einstellungen in Bascom oder im Code selbst- nicht das der "Nul"l ist, somit weiß Bascom wohl nichts mit Deinem ALIAS anzufangen.
    $hwstack= 32 ' default use 32 for the hardware stack
    $swstack= 10 ' default use 10 for the SW stack

    $framesize= 40 ' default use 40 for the frame space

    Ist nur nen Versuch. Geht sonst der Test den malthy vorgeschlagen hat? Und sonst hilft es wohl nichst, dann musst Du uns den ganzen Code zeigen.
    Welcher ATmega128 ist es genau?
    Und es muss sicher sein das der neue/geändert Code auch im µC ankommt
    Geändert von HeXPloreR (20.01.2014 um 18:16 Uhr)

  2. #12
    Erfahrener Benutzer Begeisterter Techniker
    Registriert seit
    25.03.2006
    Ort
    Hinsdorf
    Alter
    49
    Beiträge
    381
    Zitat Zitat von HeXPloreR Beitrag anzeigen
    Hallo,

    überprüfe doch mal ob überhaupt der Hardwarestack auf z.B. 32 steht, entweder in den Einstellungen in Bascom oder im Code selbst- nicht das der "Nul"l ist, somit weiß Bascom wohl nichts mit Deinem ALIAS anzufangen.
    $hwstack= 32 ' default use 32 for the hardware stack
    $swstack= 10 ' default use 10 for the SW stack

    $framesize= 40 ' default use 40 for the frame space
    >> Na klar habe ich die Resavierung für mein Programm vorgenomme


    Ist nur nen Versuch. Geht sonst der Test den malthy vorgeschlagen hat? Und sonst hilft es wohl nichst, dann musst Du uns den ganzen Code zeigen.
    Welcher ATmega128 ist es genau?
    Und es muss sicher sein das der neue/geändert Code auch im µC ankommt
    >> Warum der Test, kann doch den Port setzen
    >> was für ein Mega128.... kann ich nicht sagen ist jetzt verbaut

  3. #13
    Erfahrener Benutzer Roboter Genie Avatar von malthy
    Registriert seit
    19.04.2004
    Ort
    Oldenburg
    Beiträge
    1.379
    Dass nur der alias nicht geht ist schon einigermaßen mysteriös. Ich mache es eigentlich immer so wie Du auch, habe dieses Problem bisher nicht gehabt. Diese Alias Funktionalität ist mWn schon seeehr lange in Bascom vorhanden, dass sich da noch ein Bug versteckt, halte ich auch für ausgeschlossen.

    - - - Aktualisiert - - -

    den Port zu schalten geht ohne weiteres über portg.1 = 1

    Code:
    Config Portd.7 = Output 
    Rgb_light Alias Portd.7
    Nur zur Sicherheit: sowas Stumpfes, dass Du einfach mit Deinen Ports durcheinander gekommen bist, ist es nicht? Der 128er hat ja einen Port G ...

  4. #14
    Erfahrener Benutzer Roboter Genie Avatar von HeXPloreR
    Registriert seit
    08.07.2008
    Ort
    Bad Bramstedt
    Alter
    45
    Beiträge
    1.369
    Ich denke auch nur weil es jetzt über einen anderen weg funktioniert, ändert das wohl nichts an "dem Verständnisproblem" - die Frage von Dir war ja warum das anscheinen nicht klappt bei dir? Aber wenn es Dir ausreicht. Ich würde jedenfalls im Kreisdrehen wenn so etwas simples nicht funktioniert.

  5. #15
    Erfahrener Benutzer Begeisterter Techniker
    Registriert seit
    25.03.2006
    Ort
    Hinsdorf
    Alter
    49
    Beiträge
    381
    Zitat Zitat von malthy Beitrag anzeigen
    Dass nur der alias nicht geht ist schon einigermaßen mysteriös. Ich mache es eigentlich immer so wie Du auch, habe dieses Problem bisher nicht gehabt. Diese Alias Funktionalität ist mWn schon seeehr lange in Bascom vorhanden, dass sich da noch ein Bug versteckt, halte ich auch für ausgeschlossen.
    - - - Aktualisiert - - -




    Code:
    Config Portd.7 = Output 
    Rgb_light Alias Portd.7
    Nur zur Sicherheit: sowas Stumpfes, dass Du einfach mit Deinen Ports durcheinander gekommen bist, ist es nicht? Der 128er hat ja einen Port G ...
    Na ja....
    überprüft habe ich, und auch von anderen bestätigt bekommen, meine Schreibweise => sie stimmt
    Ausprobiert habe ich es mit 2 Ports.... immer das Gleiche.
    Meine Bug-Vermutung ist auf den atMega128 zurück zuführen (mehr Port's).

  6. #16
    Erfahrener Benutzer Roboter Genie Avatar von HeXPloreR
    Registriert seit
    08.07.2008
    Ort
    Bad Bramstedt
    Alter
    45
    Beiträge
    1.369
    Weißt Du das man nicht unbedingt auf den Chip draufgucken muss um sagen zu können welcher µC genau dort drin ist (auf Pfeil neben "programmierbutton F4 > manuelles brennen dort ist auch "chip>Idertify")? Man kann die Signatur auslesen, ausserdem kannst Du mal schauen ob der Code überhaupt fehlerfrei übersetz wird. Optionen>Compiler>Chip anhaken + Output anhaken. Wann übersieht sehr schnell eine Fehlermeldung die nur sehr unauffällig in der IDE angezeigt wird.

    Und ja die Schreibweise stimmt. Ändert aber bei Dir das eigentliche Problem nicht, oder?

  7. #17
    Erfahrener Benutzer Begeisterter Techniker
    Registriert seit
    25.03.2006
    Ort
    Hinsdorf
    Alter
    49
    Beiträge
    381
    Zitat Zitat von HeXPloreR Beitrag anzeigen
    Ich denke auch nur weil es jetzt über einen anderen weg funktioniert, ändert das wohl nichts an "dem Verständnisproblem" - die Frage von Dir war ja warum das anscheinen nicht klappt bei dir? Aber wenn es Dir ausreicht. Ich würde jedenfalls im Kreisdrehen wenn so etwas simples nicht funktioniert.
    Ich würde schon gern die Alias Schreibweise nutzen und hatte es bei kleineren Kontrollern auch immer genutzt. Nur ich will nicht dauernd deswegen meinen Kontroller neu flashen..

    - - - Aktualisiert - - -

    Zitat Zitat von HeXPloreR Beitrag anzeigen
    Weißt Du das man nicht unbedingt auf den Chip draufgucken muss um sagen zu können welcher µC genau dort drin ist (auf Pfeil neben "programmierbutton F4 > manuelles brennen dort ist auch "chip>Idertify")? Man kann die Signatur auslesen, ausserdem kannst Du mal schauen ob der Code überhaupt fehlerfrei übersetz wird. Optionen>Compiler>Chip anhaken + Output anhaken. Wann übersieht sehr schnell eine Fehlermeldung die nur sehr unauffällig in der IDE angezeigt wird.

    Und ja die Schreibweise stimmt. Ändert aber bei Dir das eigentliche Problem nicht, oder?
    ich lasse mir nach dem Compilieren das Ergebnis anzeigen.
    Ich kann nicht Deine besagte Vorgehensweise zum Chip-Indentify ausführen, da ich über Bluetooth & RS232 + Bootloader brenne....

    "Und ja die Schreibweise stimmt. Ändert aber bei Dir das eigentliche Problem nicht, oder?"

    Ja leider...

Seite 2 von 2 ErsteErste 12

Ähnliche Themen

  1. AVR Ausgang gegengleich zu PWM Ausgang schalten
    Von Thegon im Forum Microcontroller allgemeine Fragen/Andere Microcontroller
    Antworten: 25
    Letzter Beitrag: 24.01.2011, 18:50
  2. Port gleichzeitig als Eingang / Ausgang verwenden?
    Von squelver im Forum C - Programmierung (GCC u.a.)
    Antworten: 10
    Letzter Beitrag: 12.09.2008, 17:00
  3. Atmega 32 Port.d1 (TXD) als Ausgang
    Von dehnelement im Forum ARM - 32-bit-Mikrocontroller-Architektur
    Antworten: 4
    Letzter Beitrag: 25.05.2008, 19:50
  4. Einen Port als A/D Wandler und als I/O Line nutzen !?!
    Von Charmin im Forum Microcontroller allgemeine Fragen/Andere Microcontroller
    Antworten: 5
    Letzter Beitrag: 09.02.2007, 20:31
  5. mega168 - ICP vs. Port als Ausgang?!
    Von 0tes_Gesetz im Forum C - Programmierung (GCC u.a.)
    Antworten: 16
    Letzter Beitrag: 02.04.2006, 13:41

Berechtigungen

  • Neue Themen erstellen: Nein
  • Themen beantworten: Nein
  • Anhänge hochladen: Nein
  • Beiträge bearbeiten: Nein
  •  

12V Akku bauen