- LiTime Speicher und Akkus         
Seite 1 von 3 123 LetzteLetzte
Ergebnis 1 bis 10 von 23

Thema: VHDL Tutorial

  1. #1
    Moderator Robotik Einstein Avatar von Kampi
    Registriert seit
    21.11.2009
    Ort
    Monheim, Nordrhein-Westfalen, Germany
    Alter
    34
    Beiträge
    3.501
    Blog-Einträge
    9

    Beitrag VHDL Tutorial

    Anzeige

    LiFePo4 Akku selber bauen - Video
    Heyho

    da vor ein paar Tagen mein Elektor FPGA Board angekommen ist (ich habe die aktuelle Artikelreihe über FPGAs genutzt um meinen Einstieg in FPGAs + VHDL zu verwirklichen), hatte ich mir gedacht, dass ich ein wenig zu dem Thema auf meiner HP veröffentlichen werde (quasi als Begleitung zu meiner Einarbeitung.....hoffe das mir das später im Studium / Beruf zu Gute kommen wird ).
    Ich muss sagen, dass ich diese Sprache unterschätzt habe....ich habe mich die letzten Tage oft mit einem Arbeitskollegen über das Thema FPGA und VHDL unterhalten und das ist echt erstaunlich wie komplex diese Sprache ist und was man alles beachten muss.....und vor allem der Umfang.
    Ich habe mir auch bereits vier Bücher geben lassen die ich jetzt mal nach und nach durch arbeiten werde (irgendwie zwischen Studium, Arbeit und dem Rest ) und ich hoffe dann das ich ein paar Fortschritte machen werde.
    Naja hier ist der Link zu dem Tut:

    http://kampis-elektroecke.de/?page_id=3197

    Eventuell hat ja der ein oder andere auch Interesse in das Thema einzusteigen. Ich werde das Tut nach und nach anhand von Schaltungen die ich geschrieben habe ergänzen und den Code erklären (ich denke das ich jetzt am Wochenende einen Einstiegscode schreiben und dann durchnehmen werde).
    Schaut ruhig mal auf meiner Homepage vorbei :
    http://kampis-elektroecke.de

    Oder folge mir auf Google+:
    Daniel Kampert

    Es gibt 10 Arten von Menschen. Die einen können Binär, die anderen nicht.

    Gruß
    Daniel

  2. #2
    Erfahrener Benutzer Roboter-Spezialist
    Registriert seit
    08.08.2008
    Ort
    DE
    Beiträge
    523
    Also so umfangreich habe ich diese Sprache jetzt nicht gefunden, aber die komplexität ist schon der Wahnsinn. Und auch die synchronen Designs sind echt furchtbar, da hat man gleich mal nen Latch drin oder die Pins stecken wieder auf Vcc oder GND, echt zum verzweifeln^^

    mfg

  3. #3
    Moderator Robotik Einstein Avatar von Kampi
    Registriert seit
    21.11.2009
    Ort
    Monheim, Nordrhein-Westfalen, Germany
    Alter
    34
    Beiträge
    3.501
    Blog-Einträge
    9
    Mit Umfang meinte ich nicht die Sprache....falsch rüber gekommen , sondern den ganzen Prozess: Synthese, implementieren und Bitstream generieren und dann die Fehlersuche und alles
    Bin halt "nur" Programmieren gewohnt. Da ist sowas was vollkommen anderes.
    Schaut ruhig mal auf meiner Homepage vorbei :
    http://kampis-elektroecke.de

    Oder folge mir auf Google+:
    Daniel Kampert

    Es gibt 10 Arten von Menschen. Die einen können Binär, die anderen nicht.

    Gruß
    Daniel

  4. #4
    Moderator Begeisterter Techniker Avatar von tucow
    Registriert seit
    16.01.2006
    Ort
    Düsseldorf
    Alter
    38
    Beiträge
    269
    Hey Daniel,

    ich bringe bei uns an der Uni den Studenten VHDL anhand vom Spartan-3AN bei, wenn Du Fragen hast meld Dich

    Die komplexesten Sachen die ich bisher realisiert habe sind die Ausgabe von visualisierten Mandelbrotmengen und ein Breakout Spiel über den VGA Port und nicht ganz ohne war ein 256 Bit Carry Look Ahead Addierer.

    Aktuell arbeite ich daran einen alten NES Controller auszulesen und ihn in das Breakout Spiel zu integrieren, damit laesst es sich wesentlich besser steuern als mit den vorhandenen Buttons auf dem Spartan3-AN Board.
    Gruß
    Andreas




    Mein: Humanoide // nanoCopter Projekt
    Das Raspberry Pi als: Wifi Access Point // Wifi Router

  5. #5
    Moderator Robotik Einstein Avatar von Kampi
    Registriert seit
    21.11.2009
    Ort
    Monheim, Nordrhein-Westfalen, Germany
    Alter
    34
    Beiträge
    3.501
    Blog-Einträge
    9
    Hey,

    klingt gut
    Behalte ich im Hinterkopf
    Naja ein "Problem" ist, dass mein FPGA Board halt so gut wie nichts an Peripherie drauf hat, sprich VGA-Port usw. Das muss ich alles extern Nachrüsten.....aber darüber mache ich mir Gedanken wenn ich mal an dem Punkt bin
    Im Moment quäle ich mich mit den Grundlagen rum.
    Aber da ist schon wieder eine Sache die ich nicht verstehe.....
    Ein Arbeitskollege sagte mir, dass nur wenige FPGAs analog Blöcke besitzen und dem entsprechend nur digitale Schaltungen realisieren können.
    Wie realisiert man dann VGA? Soweit ich weiß ist das doch analog?
    Weil ich habe hier noch einen alten Monitor rumliegen....vielleicht kann ich den im Laufe der Zeit dann mal verwenden ^.^
    Schaut ruhig mal auf meiner Homepage vorbei :
    http://kampis-elektroecke.de

    Oder folge mir auf Google+:
    Daniel Kampert

    Es gibt 10 Arten von Menschen. Die einen können Binär, die anderen nicht.

    Gruß
    Daniel

  6. #6
    Erfahrener Benutzer Roboter Genie Avatar von malthy
    Registriert seit
    19.04.2004
    Ort
    Oldenburg
    Beiträge
    1.379
    Wie realisiert man dann VGA?
    Ich habe ein Digilent Nexys2 Board, da haben sie VGA so realisiert, eben nur mit 3 Bit pro Farbe:

    Klicke auf die Grafik für eine größere Ansicht

Name:	nexysVGA.png
Hits:	23
Größe:	33,1 KB
ID:	24637
    (Quelle)

    Bin vor einiger Zeit ziemlich motiviert an FPGAs rangegangen, muss aber zugeben, dass ich dann irgendwann steckengeblieben bin ...

    Gruß
    Malte
    Geändert von malthy (24.02.2013 um 11:07 Uhr)

  7. #7
    Moderator Robotik Einstein Avatar von Kampi
    Registriert seit
    21.11.2009
    Ort
    Monheim, Nordrhein-Westfalen, Germany
    Alter
    34
    Beiträge
    3.501
    Blog-Einträge
    9
    Zitat Zitat von malthy Beitrag anzeigen
    Ich habe ein Digilent Nexys2 Board, da haben sie VGA so realisiert, eben nur mit 3 Bit pro Farbe:

    Klicke auf die Grafik für eine größere Ansicht

Name:	nexysVGA.png
Hits:	23
Größe:	33,1 KB
ID:	24637
    (Quelle)

    Bin vor einiger Zeit ziemlich motiviert an FPGAs rangegangen, muss aber zugeben, dass ich dann irgendwann steckengeblieben bin ...

    Gruß
    Malte
    Ah mit Hilfe von Spannungsteilern. Und dann sicher auch mit verschiedenen Logikspannungen oder?
    Weil ich habe gestern gesehen, dass ich bei dem FPGA einstellen kann ob es 3,3V 2,5V, 1,8V und 1,2V als Highpegel führt.
    Schaut ruhig mal auf meiner Homepage vorbei :
    http://kampis-elektroecke.de

    Oder folge mir auf Google+:
    Daniel Kampert

    Es gibt 10 Arten von Menschen. Die einen können Binär, die anderen nicht.

    Gruß
    Daniel

  8. #8
    Erfahrener Benutzer Roboter Genie Avatar von malthy
    Registriert seit
    19.04.2004
    Ort
    Oldenburg
    Beiträge
    1.379
    Und dann sicher auch mit verschiedenen Logikspannungen oder?
    Ich bin da jetzt nicht so tief eingedrungen, aber ich glaube nicht, dass da noch mit unterschiedlichen Logikspannungen gearbitet wird. Es stehen pro Farbe eben einfach nur 2^3 Abstufungen zur Verfügung, bei drei primaries macht das dann 512 mögl. Farben. Die Spannungsteiler ergeben sich glaube ich mit der Terminierung des VGA Eingangs im Monitor.

  9. #9
    Erfahrener Benutzer Roboter-Spezialist
    Registriert seit
    26.11.2004
    Beiträge
    451
    Würde auch raten, dass da mit der selben Spannung gearbeitet wird und nur durch entspechend Umschalten mit high/low und vllt. HighZ verschiedene Spannungen erzeugt. Stink normaler Spannungsteiler halt.

    Btw. sind nur 8bit farben (256), Blue hat nur 2 bit oder ein Fehler in der Schaltung

  10. #10
    Moderator Robotik Einstein Avatar von Kampi
    Registriert seit
    21.11.2009
    Ort
    Monheim, Nordrhein-Westfalen, Germany
    Alter
    34
    Beiträge
    3.501
    Blog-Einträge
    9
    Zitat Zitat von robin Beitrag anzeigen
    Würde auch raten, dass da mit der selben Spannung gearbeitet wird und nur durch entspechend Umschalten mit high/low und vllt. HighZ verschiedene Spannungen erzeugt. Stink normaler Spannungsteiler halt.

    Btw. sind nur 8bit farben (256), Blue hat nur 2 bit oder ein Fehler in der Schaltung
    Naja werde ich denke ich mal raus finden wenn ich soweit bin
    Im Moment versuche ich mich noch an einfachen Logikschaltungen um ein bisschen vertrauter mit der Sprache zu werden.
    Für VGA muss ich mir dann eh noch was basteln, da ein VGA Anschluss nicht auf mein Steckbrett passt
    Aber das hat zumindest schon mal die Frage beantwortet wie sowas mit einem FPGA gemacht wird. Ich bin bisher immer davon ausgegangen das das alles intern gelöst wurde. Auf den Spannungsteiler bin ich gar nicht gekommen.
    Schaut ruhig mal auf meiner Homepage vorbei :
    http://kampis-elektroecke.de

    Oder folge mir auf Google+:
    Daniel Kampert

    Es gibt 10 Arten von Menschen. Die einen können Binär, die anderen nicht.

    Gruß
    Daniel

Seite 1 von 3 123 LetzteLetzte

Ähnliche Themen

  1. VHDL - Fehlermeldung ohne Fehler?
    Von robo_tom_24 im Forum Software, Algorithmen und KI
    Antworten: 8
    Letzter Beitrag: 27.02.2013, 09:58
  2. VHDl-AMS Fragen
    Von Markus87 im Forum Microcontroller allgemeine Fragen/Andere Microcontroller
    Antworten: 1
    Letzter Beitrag: 16.09.2011, 18:43
  3. [VHDL] Globale Variable/Signal?
    Von redraven im Forum Microcontroller allgemeine Fragen/Andere Microcontroller
    Antworten: 12
    Letzter Beitrag: 20.12.2010, 15:39
  4. VHDL unerklährliche Wartezeit nach Tastendruck
    Von todo im Forum Microcontroller allgemeine Fragen/Andere Microcontroller
    Antworten: 3
    Letzter Beitrag: 24.09.2009, 01:25
  5. C tutorial
    Von joni8a im Forum C - Programmierung (GCC u.a.)
    Antworten: 7
    Letzter Beitrag: 02.10.2006, 17:26

Berechtigungen

  • Neue Themen erstellen: Nein
  • Themen beantworten: Nein
  • Anhänge hochladen: Nein
  • Beiträge bearbeiten: Nein
  •  

LiTime Speicher und Akkus